ISSN ONLINE(2278-8875) PRINT (2320-3765)

All submissions of the EM system will be redirected to Online Manuscript Submission System. Authors are requested to submit articles directly to Online Manuscript Submission System of respective journal.

DIGITAL GAS IDENTIFICATION SYSTEM USING ARTIFICIAL NEURAL NETWORKS

Mrs.N.Dhanalakshmi1, Mr.K.Vijaya kanth2
  1. PG Student, VLSI Design, Srinivasan Engineering College, Tamilnadu, India
  2. Assistant Professor, ECE, Srinivasan Engineering College, TamilNadu, India
Related article at Pubmed, Scholar Google

Visit for more related articles at International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

Abstract

The human nervous system is responsible for critical thinking, reasoning and problem solving. Artificial Neural Networks (ANN) tries to resemble biological neuron. Here, ANN is used for discriminating gas species in an environment by means ofan array of four gas sensors using tin oxide (SnO2)based thin films with different sensitive elements such as copper and platinum.The output signal from the sensors are processed through the signal conditioning unit and fed to ANN which is implemented using Field Programmable Gate Array. The proposed ANN architecture includes multiplier and accumulate unit (MAC),Neuron activation function and classifiers. The MAC unit is implemented using radix-4 booth multiplier for improving multiplication speed and carry save adder for less power consumption. To activate the ANN, hyperbolic tangent (tanh) sigmoid activation function is used. ANN is trained using back propagation algorithm. Most of the gas discrimination system uses ANN with MLP as a classifier. MLP uses nonlinear parameters and does not guarantee optimum results While RBF uses linear parameters, guarantees optimum solution and has a faster learning rate. Here combination of both MLP and RBF is proposed. This proposed architecture is used to recognize four different gases such as hydrogen (H2), carbon monoxide (CO), Methane (CH4) and CO- CH4 mixture. The system can be used in domestic, industrial and military applications.

Index Terms

Artificial Neural Networks, Multilayer Perceptron, Radial basis function,Sigmoid function

INTRODUCTION

The intellectual power of human is the factor that distinguishes human and machine. In emerging technology machine can perform artificial intelligence by invoking artificial neurons. This leads to a development of artificial neural network, a promising technique that resembles the human brain in problem solving.The term “Artificial Neural Network” is coined to the system made of functional units called artificial neurons which functions in the same way as the biological nervous system by following different topologies. It is a powerful technique that solves many real time applications. It learns from past experience and adapts themselves with the changes made in different training environment. In addition, it is used to solve problem with incomplete information in an effective manner.
ANN is a very good classifier and offers reliable solutions in a wide variety of applications such as pattern recognition, speech recognition and character recognition [1]. ANN used to resemble mammalian nose in recognizing the gases in the surrounding environment [2], [3]. An array of sensors such as temperature,gas sensors based sno2 film is usedto improve the nonlinear characteristics and for accurate gas recognition in noisy environment. ANN used for gas discrimination along with an array of sensors[4], [5], [6] is a promising technique which gives accurate results.The output from the sensor is given as input pattern to ANN.ANN is made up of highly interconnected processing elements called artificial neuron. Artificial neuron can be implemented usingthe MAC unit.To implement MAC unit radix-4 booth multiplier and carry save adder is designed [7], [8].To fire the neuron, important parameter called activation function is required. There are different types of activation function such as a step function, identity function, unipolar sigmoid function, bipolar sigmoid function, hyperbolic tangent function, symmetrical hard limit activation function, saturating linear activation function, logistic function and so on. Compared to other functions, hyperbolic tangent function provides accurate results [9], [10]. Hence, here the tanh function is used to activate artificial neurons.
The ANN can be designed with different types of classifiers such as Multilayer perceptron [2], Radial Basis Function [12],[13], Self Organizing Map(SOM) [3], K- Nearest Neighbor(k-NN) and so on. Most of the ANN gas discriminating system use MLP as classifier [2], [4], [6]. MLP has a slow learning rate with less convergence for optimum result, whereas RBF found to have a faster learning rate and guarantees for optimum solution [14].Hence proposed ANN architecture use both MLP and RBF for faster and accurate gas discrimination. ANN adopts three ways for learning, namely supervised, unsupervised and reinforcement learning. ANN recognizes the gases by supervised learning with back propagation algorithm for training the network [15].ANN is implemented using FPGA.The restof this paper provides the view as organized below. Section 2 is about the gas recognition system. Section 3 deals with the gas sensor technology. Section 4 provides an insight to ANN. Section 5 deals with results and conclusion.

GAS RECOGNITION SYSTEM

Environmental gases can be recognized by using an array of sensors and artificial neural network architecture.
image
An array of sensors include four gas sensors based on tin oxide film. Tin oxide material is chosen due to higher sensitivity and low cost. Four sensors with three different sensing elements are chosen to recognize four kinds of gases such as hydrogen (H2), carbon monoxide (CO), Methane (CH4), CO- CH4 mixture. Sensor 1, sensor 2, sensor 3 & sensor 4 consist of Au/SnO2, Pt/Cu/SnO2 and Pt/SnO2 respectively. The gas mixture is fed to the sensors via gas flow controller(GFC). The flow rate of the gases depends upon GFC. Each gas will be highly sensitive at a specific temperature. For example, methane has high sensitivity at 300 centigrade and hydrogen is sensible at 260 centigrade. Thus, by heating the sensing element at various temperature gases can be recognized. To heat the sensors a device called micro hotplate is developed using micromachining technique. The output from sensors are highly nonlinear exponential voltage curve and hence it should be preprocessed with various normalization process and is converted to digital samples from analog to digital converter and applied to ANN implemented in FPGA.
ANN first reads the voltage values stored in processor memory.The input patterns are classified into two groups, namely training samples and test samples. Training samples are classified into various classes. The similar input patterns are grouped under one class with corresponding labels. Each class indicates specific gases. The ANN will be trained using these training samples. During the training phase, ANN self organizes the database obtained from training. After training, test samples are given to ANN. Trained ANN adopts themselves to the test samples, compare the samples with database stored and identifies to which class the sample belongs. After identifying the classes, it recognizes the kind of gas present in the environment. The proposed ANN architecture includes multiply and accumulator unit (MAC), neuron activation function and classifiers. The MAC unit is implemented using modified radix-4 booth multiplier for improving multiplication speed and carry save adder for less power consumption. Toactivate the ANN, tanhSigmoid activation function is used. Two classifiers namely Multilayer Perceptron and Radial basis function are used. The network is trained using back propagation algorithm.

GAS SENSOR TECHNOLOGY

It includes gas chamber, gas flow controller and sensor array. Gas chamber includes the mixture on Hydrogen (H2), carbon monoxide (CO), Methane (CH4) and CO - CH4. This mixture is fed to GFC. GFC is made up of micromachining techniques.The cross sectional view is shown below.
image
Four bridges are present at the four corners of a GFC. To maintain the temperature uniformity, the poly silicon heater is placed. The temperature sensor is used to monitor the thermal condition of the sensor film. Sensor array consists of four semiconductor type sensors. Sensor film of semiconductor sensor is made up of oxides of heavy metal like tin. Tin oxide is deposited over the silicon surface. The gases get absorbed in this oxide film where it undergoes catalytic oxidation. Due to this chemical reaction, the resistance of the oxide film varies. Based on the current flow through the heating layer and the sensor resistance, temperature of each film is calculated. The sensor is heated to 200-250°C to speed the rate of the reaction. CO reach its peak sensitivity at 300 °C , while H2 reach peak at 260 °C, whereas CH4 at 290°C. Sensor 3& 4 provides accurate response for CO at 300 °C while other sensors recognize it to a lower extent. The output from the sensors is processed through the signal conditioning unit and fed to ANN.

ARTIFICIAL NEURAL NETWORK STRUCTURE

Todesign a recognition system using ANN, parameters such as input pattern, data analysis, preprocessing, classifier design, learning algorithms and training samples should be taken into account. The first and foremost step is data analysis and preprocessing. In this step, the database is split into columns with specific labels. The data is scaled, encoded, filtered and then given as input to ANN. One- of-N encoding scheme is applied here. Each column represents specific gas species. If the output response is similar to H2 database response then the output value corresponding to H2 is set to 1, while the other outputs were set to 0. i.e.(1000). In the next step, training is carried out byproviding training sets. ANN is trained using MLP as its classifier with BP algorithm. Six data sets are used for training.

A)Artificial Neuron as MAC Unit

Artificial neuron is the key element that invokes the artificial intelligence of the neural network. Except the neurons in the input layer, each neuron in other layers receives signals from the neurons of the previous layer weighted by the interconnected values between neurons. Single neuron may have many input signals. Each neuron multiplies each of its input signals with corresponding weights and finally sums them. Thus the artificial neuron can be modeled using multiply and Accumulate unit (MAC). Radix-4 modified booth multiplier and carry save adder are used to implement mac unit.
image
The equation is given as
Neti=(Æ©WiXi)+ɵ ….. (1.1)
Yi = F (Neti) ….. (1.2)
W- Weights between two layers.
X - Inputs to neuron.
F - Activation function.
Neti - Sum of product terms of input with corresponding weights.
Y - Output of neuron.
ɵ - Threshold or bias of neuron.
B)Multiplier
Multiplication is the important process in many applications. The performance of the system mostly relies on speed and area optimization. Multipliers are the major components occupying a large area and slowest component. There are different types of multiplier namely serial and parallel multiplier. Serial multipliers consume more power. Parallel multipliers like booth multiplier computes the result in less iteration step and use only few adders compared to serial multiplier. There are different radix booth multipliers are available such as radix-2, radix-4, radix-8 and so on. In this design radix-4 modified booth algorithm is preferred. This algorithm recodes three bits at a time.
image
Thus, at each clock cycle 3 bits are taken. Thus,the number of clock cycle gets reduced, resulting in a less partial product generation. Thus, using higher radix is powerful technique to achieve speed multiplication. Multiplication involves two operands namely multiplicand and multiplier. For recoding multiplier bits are chosen.After recoding multiplicand gets multiplied with the corresponding recoded digit which leads to partial product generation. Since three bits are recoded at a time partial product gets reduced.

C)Carry Save Adder

The carry save adder (CSA) design is the most influential component of the multiplier. This is due to the large iterations of additions performed in the multiplication cycle. There are wide varieties of adders available.Among that carry save adder found to consume less power and reduce delays compared to other adders. Carry save adder can form pipelined and tree structure. A Wallace tree structure formed by this adder helps to reduce propagation delay. Carry save adder is to perform addition in two steps. First, it calculates the sum alone by ignoring carry values and save them in memory. Next it calculates sum and carry values to obtain the result.

D)Activation Function

The activation function of a node performs scalar to the scaling transformation of the weighted inputs and sets the output value in the limited range. It fires the neuron when the threshold value is met. There are different types of activation function such as a step function, identity function, unipolar and bipolar sigmoid function, hyperbolic tangent (tanh) sigmoid function and so on. Here the tanh function is used. Tanh function limits the output range between -1 and 1. It is mathematically expressed as
image

E)Multilayer Perceptron

The Multilayer Perceptron (MLP) is one of the most commonly used networks. It can be used to classify problems on various applications. This neural network model is developed by adding hidden layers to a simple perceptron.
image
MLP network consists of input, hidden and output layer. Except the neurons in the input layer the neurons present in another layer is to multiply its input with the corresponding weights between successive nodes. The sum of product value is passed through an activation function which fires the neuron when the threshold value is met. It is trained using back propagation algorithm. The BP algorithm use trial and error method for minimizing error. Since it uses a supervised learning algorithm, classified samples are used for training and their desired response is known prior. The actual output obtained is subtracted from desired response and the error is calculated. The error is back propagated and weights are adjusted in such a way that error is minimized. The system consists of three neurons in the input layer, one hidden layer with four neurons and output layer with four neurons.

RESULTS

F) Simulation Result for Radix-4 Multiplier

The Radix-4 multiplier is modeled using VHDL and simulated using ModelsimSE6.2c.
image
The multiplier is used to generate the partial product value for multiplication of two bits such as A= 34 and B= -42. Variables O, O1, O2 and O3 indicate the partial products.

G) Simulation Result for Carry save Adder

Carry save adder is modeled using Xilinx and simulated using ModelsimSE6.2c.
image
The adder is designed to add the partial products of radix-4 multiplier. The adder has been designed to add 17 bits since multiplication of two 8-bit values gives 16 bit value with additional 1 bit indicating sign of the result. Hence 11 bit values are extended as 17 bits.

CONCLUSION

The digital gas recognition system using artificial neural network is proposed for faster and accurate environmental gases recognition. The artificial neuron is the key element which activates the network. Here the artificial neuron is modeled as multiply and Accumulate unit using Radix-4 modified booth multiplier which reduces the number of partial products as n/2 for n-bit multiplication and carry save adder is used for partial product compression. The hyperbolic activation function is used for better recognition accuracy. In this work, the multilayer perceptron network is designed andimplemented using FPGA. This system is developed to recognize four gas species around 300 °C. In future, the work can be extended by recognizing organic compounds at high temperatureby adding other types of classifiers. This design can be used for various applications such as signal processing, image compression by giving appropriate input to the MLP model.
 

References